This is an archive of the discontinued LLVM Phabricator instance.

[RISCV] Mark unit tests as "requires: riscv-registered-target"
ClosedPublic

Authored by mgrang on Nov 21 2018, 3:07 PM.

Diff Detail

Repository
rL LLVM

Event Timeline

mgrang created this revision.Nov 21 2018, 3:07 PM

Ping for reviews please.

sabuasal accepted this revision.Nov 27 2018, 10:52 AM
sabuasal added a reviewer: sabuasal.
This revision is now accepted and ready to land.Nov 27 2018, 10:52 AM
This revision was automatically updated to reflect the committed changes.
asb added a comment.Nov 27 2018, 12:04 PM

I'm a bit confused - what in these tests requires that lib/Target/RISCV was built?

These tests obviously don't fail on the standard builders for instance.

NoQ added a subscriber: NoQ.Nov 29 2018, 7:02 PM

Since this was reverted in rC347689, is it ok to remove the empty Driver directory from the repo as well?
Noticed by trying to do shell auto-complete for stuff like D54816.diff by just typing D<tab> :)

cfe/trunk/test/Driver/riscv32-toolchain.c