This is an archive of the discontinued LLVM Phabricator instance.

[DebugInfo] Only print a single blank line after an empty line table
ClosedPublic

Authored by jhenderson on Dec 16 2019, 4:20 AM.

Details

Summary

rG84a9756 added an extra blank line at the end of any line table. However, a blank line is also printed after the line table header, which meant that two blank lines in a row were being printed after a header, if there were no rows. This patch defers the post-header blank line printing until it has been determined that there are rows to print.

Diff Detail

Event Timeline

jhenderson created this revision.Dec 16 2019, 4:20 AM
Herald added a project: Restricted Project. · View Herald TranscriptDec 16 2019, 4:20 AM
Herald added a subscriber: hiraditya. · View Herald Transcript
dblaikie accepted this revision.Dec 16 2019, 12:07 PM

Sounds good

This revision is now accepted and ready to land.Dec 16 2019, 12:07 PM
This revision was automatically updated to reflect the committed changes.