This is an archive of the discontinued LLVM Phabricator instance.

[clang-format] Don't indent Verilog `begin` keyword on its own line
ClosedPublic

Authored by sstwcw on May 2 2023, 8:33 AM.

Details

Summary

When the line is too long and the begin keyword wraps to the next
line, it shouldn't be indented.

Diff Detail

Event Timeline

sstwcw created this revision.May 2 2023, 8:33 AM
Herald added projects: Restricted Project, Restricted Project, Restricted Project. · View Herald TranscriptMay 2 2023, 8:33 AM
Herald added a subscriber: cfe-commits. · View Herald Transcript
sstwcw requested review of this revision.May 2 2023, 8:33 AM
sstwcw retitled this revision from [clang-format] Stop indent Verilog `begin` keyword on single line to [clang-format] Stop indenting Verilog `begin` keyword on single line.May 2 2023, 8:34 AM
sstwcw retitled this revision from [clang-format] Stop indenting Verilog `begin` keyword on single line to [clang-format] Don't indent Verilog `begin` keyword on its own line.
This revision is now accepted and ready to land.May 2 2023, 12:23 PM