diff --git a/llvm/lib/Analysis/InstructionSimplify.cpp b/llvm/lib/Analysis/InstructionSimplify.cpp --- a/llvm/lib/Analysis/InstructionSimplify.cpp +++ b/llvm/lib/Analysis/InstructionSimplify.cpp @@ -4220,10 +4220,10 @@ if (VecC && ValC && IdxC) return ConstantFoldInsertElementInstruction(VecC, ValC, IdxC); - // Fold into undef if index is out of bounds. + // For fixed-length vector, fold into undef if index is out of bounds. if (auto *CI = dyn_cast(Idx)) { - uint64_t NumElements = cast(Vec->getType())->getNumElements(); - if (CI->uge(NumElements)) + if (!Vec->getType()->getVectorIsScalable() && + CI->uge(Vec->getType()->getVectorNumElements())) return UndefValue::get(Vec->getType()); } @@ -4294,8 +4294,9 @@ // If extracting a specified index from the vector, see if we can recursively // find a previously computed scalar that was inserted into the vector. if (auto *IdxC = dyn_cast(Idx)) { - if (IdxC->getValue().uge(Vec->getType()->getVectorNumElements())) - // definitely out of bounds, thus undefined result + // For fixed-length vector, fold into undef if index is out of bounds. + if (!Vec->getType()->getVectorIsScalable() && + IdxC->getValue().uge(Vec->getType()->getVectorNumElements())) return UndefValue::get(Vec->getType()->getVectorElementType()); if (Value *Elt = findScalarElement(Vec, IdxC->getZExtValue())) return Elt; diff --git a/llvm/lib/Analysis/VectorUtils.cpp b/llvm/lib/Analysis/VectorUtils.cpp --- a/llvm/lib/Analysis/VectorUtils.cpp +++ b/llvm/lib/Analysis/VectorUtils.cpp @@ -262,9 +262,12 @@ Value *llvm::findScalarElement(Value *V, unsigned EltNo) { assert(V->getType()->isVectorTy() && "Not looking at a vector?"); VectorType *VTy = cast(V->getType()); - unsigned Width = VTy->getNumElements(); - if (EltNo >= Width) // Out of range access. - return UndefValue::get(VTy->getElementType()); + // For fixed-length vector, return undef for out of range access. + if (!V->getType()->getVectorIsScalable()) { + unsigned Width = VTy->getNumElements(); + if (EltNo >= Width) + return UndefValue::get(VTy->getElementType()); + } if (Constant *C = dyn_cast(V)) return C->getAggregateElement(EltNo); diff --git a/llvm/test/Transforms/InstSimplify/vscale.ll b/llvm/test/Transforms/InstSimplify/vscale.ll new file mode 100644 --- /dev/null +++ b/llvm/test/Transforms/InstSimplify/vscale.ll @@ -0,0 +1,96 @@ +; NOTE: Assertions have been autogenerated by utils/update_test_checks.py +; RUN: opt < %s -instsimplify -S -verify | FileCheck %s + +;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +;; Vector Operations +;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; + +; insertelement + +define @insertelement_idx_undef( %a) { +; CHECK-LABEL: @insertelement_idx_undef( +; CHECK-NEXT: ret undef +; + %r = insertelement %a, i32 5, i64 undef + ret %r +} + +define @insertelement_value_undef( %a) { +; CHECK-LABEL: @insertelement_value_undef( +; CHECK-NEXT: ret [[A:%.*]] +; + %r = insertelement %a, i32 undef, i64 0 + ret %r +} + +define @insertelement_idx_maybe_out_of_bound( %a) { +; CHECK-LABEL: @insertelement_idx_maybe_out_of_bound( +; CHECK-NEXT: [[R:%.*]] = insertelement [[A:%.*]], i32 5, i64 4 +; CHECK-NEXT: ret [[R]] +; + %r = insertelement %a, i32 5, i64 4 + ret %r +} + +define @insertelement_idx_large_bound( %a) { +; CHECK-LABEL: @insertelement_idx_large_bound( +; CHECK-NEXT: [[R:%.*]] = insertelement [[A:%.*]], i32 5, i64 12345 +; CHECK-NEXT: ret [[R]] +; + %r = insertelement %a, i32 5, i64 12345 + ret %r +} + +define @insert_extract_element_same_vec_idx_1( %a) { +; CHECK-LABEL: @insert_extract_element_same_vec_idx_1( +; CHECK-NEXT: ret [[A:%.*]] +; + %v = extractelement %a, i64 1 + %r = insertelement %a, i32 %v, i64 1 + ret %r +} + +; extractelement + +define i32 @extractelement_idx_undef( %a) { +; CHECK-LABEL: @extractelement_idx_undef( +; CHECK-NEXT: ret i32 undef +; + %r = extractelement %a, i64 undef + ret i32 %r +} + +define i32 @extractelement_vec_undef( %a) { +; CHECK-LABEL: @extractelement_vec_undef( +; CHECK-NEXT: ret i32 undef +; + %r = extractelement undef, i64 1 + ret i32 %r +} + +define i32 @extractelement_idx_maybe_out_of_bound( %a) { +; CHECK-LABEL: @extractelement_idx_maybe_out_of_bound( +; CHECK-NEXT: [[R:%.*]] = extractelement [[A:%.*]], i64 4 +; CHECK-NEXT: ret i32 [[R]] +; + %r = extractelement %a, i64 4 + ret i32 %r +} +define i32 @extractelement_idx_large_bound( %a) { +; CHECK-LABEL: @extractelement_idx_large_bound( +; CHECK-NEXT: [[R:%.*]] = extractelement [[A:%.*]], i64 12345 +; CHECK-NEXT: ret i32 [[R]] +; + %r = extractelement %a, i64 12345 + ret i32 %r +} + +define i32 @insert_extract_element_same_vec_idx_2( %a) { +; CHECK-LABEL: @insert_extract_element_same_vec_idx_2( +; CHECK-NEXT: ret i32 1 +; + %v = insertelement undef, i32 1, i64 4 + %r = extractelement %v, i64 4 + ret i32 %r +} +